2024: How Does China Overcome the US’ Chip Sanctions?

A key coginitive deficiency is crippling the global chip industry: there’s a lack of a bird-eye view of the complex realities of this high-technology field. Gaps in knowledge often lead to polarized views - either people maintain blind optimism about China's ability to conquer the "chip" challenge, or express complete pessimism.
January 31, 2024
author_image
The Intellectual
A media platform founded by scholars Rao Yi, Lu Bai, and Xie Yusen, focusing on Science, Humanities, and Ideas.

At the outset of 2024, a key leash in the United States strategy to throttle China’s semiconductor industry has quietly been tightened.

On January 1, local time, ASML, the Dutch giant in photolithography systems, announced that the Dutch government had recently partially revoked licenses for exporting certain chip manufacturing equipment to mainland China. The affected equipment models are the NXT:2050i and NXT:2100i photolithography systems. ASML expressed regret that “this may impact a few customers.”

Who these few customers are, and the extent of the impact was not specified by ASML.

Since the more advanced EUV lithography equipment was embargoed in 2019, the now-restricted 2050i and 2100i models can be considered among the most advanced DUV lithography machines currently available, widely used in sub-40nm process capacity, corresponding to the main process nodes of 28nm, 14nm, 10nm, and 7nm.

The 2050i and 2100i models, upgraded from the 1980di, are immersion lithography equipment that use 193nm wavelength light. These systems employ techniques like multiple exposures, enabling them to produce chips with a 7nm process and, in theory, could even be adapted for 5nm processes.

Comparison of ASML’s DUV lithography machine products, with the 2100i essentially regarded as one of the most advanced models currently Source: Silicon-Based Research Institute Graphics

Previously, the blockade against EUV was more of a “deterrent.” The embargo on this batch of DUV equipment undoubtedly has a more direct impact — the manufacturing of wafers below 40nm, including mature and some advanced processes, is also being “choked.”

As some industry insiders have summarized, even if EUV equipment were openly sold to mainland China, given the current comprehensive industrial status in design, manufacturing, packaging, and testing, there would still be no capability to mass-produce 3nm chips. However, the embargo on this batch of DUV equipment precisely targets the range that the domestic chip industry could potentially reach with effort.

Previously, Huawei’s flagship Mate 60, equipped with the Kirin 9000S, made an appearance, sparking various speculations. Disassembly and reviews showed that its overall performance was between the Snapdragon 888 (Samsung 5nm) and Snapdragon 8 Gen1 (Samsung 4nm), comparable to Apple’s A13 (TSMC 7nm+). Conservatively estimated, the domestic wafer foundry industry has effectively acquired the capacity to mass-produce equivalent 7nm process chips, but based on market supply performance, the capacity of these advanced process chips is still climbing.

Further, beyond the explicit impact on capacity expansion, the larger impact may be at the strategic level — the embargo on advanced lithography systems will drive the collective “de-Americanization” of the upstream and downstream industry chains. However, China’s chip manufacturing industry will have to “retrace” each process node and industrial link, thus incurring high economic and time costs.

An insider revealed, “This new regulation led by the United States extends restrictions to ArF DUV used for below 14nm; hence, the 2000 series is banned. Currently, most domestic projects are 28nm and above mature processes, which will not be affected. However, certain customers on the US Entity List may not be able to get even the 1980 in the future, which is the real impact.”

Previously, the chip industry’s opacity and high technical barriers led to a mix of true and false information flooding the public discourse. This influenced the market and public perception of the industry’s development, leading to many unrealistic “misjudgments,” including but not limited to:

  • The belief that ASML could find ways to disobey or circumvent the ban and continue supplying photolithography equipment to China.
  • There is a possibility that Moore’s Law might stall in the future, giving China’s chip foundry industry plenty of time to surpass TSMC and Samsung.
  • From a policy perspective, hoping for ASML’s “friendship” or a turn of events in the chip embargo to help the Chinese chip industry is undoubtedly wishful thinking, not because ASML is “not brotherly enough.” On the contrary, many industry insiders expressed that ASML has already done all it can to implement the chip embargo.

    A year ago, in response to the agreement reached by the US, Japan, and the Netherlands to limit the export of advanced equipment to China, ASML CEO Peter Wennink subtly refuted it in a public setting: “The more pressure you put on China, the more likely they are to double their efforts to build a photolithography machine that can rival ASML.”

    In practice, driven by business considerations, ASML has actively worked to meet its mainland Chinese customers’ demands by expediting the delivery of photolithography machines before the embargo’s implementation.

    Public records indicate that in October 2023 alone, mainland China imported 21 photolithography machines from the Netherlands, with a total value of $672.5 million. The following month, November, saw an increase in imports to 42 machines, valued at $816.8 million.

    ASML’s third-quarter financial report also revealed that sales revenue from mainland China had grown from 8% in the first quarter and 24% in the second quarter to 46%. Some industry insiders commented that ASML was still “a good friend”:

    “Photolithography equipment, being highly customized, rarely has inventory. Traditionally, manufacturers start production only after receiving 30-40% of the total prepayment.”

    Given the large scale of shipments in the second half of 2023, it’s apparent that ASML allocated a significant part of its photolithography machine capacity to Chinese customers.

    ASML Transports DUV Machines at the Airport, Monitoring Temperature, Vibration Coefficients, and Other Data Throughout the Process Source: ASML

    ASML’s role as a “good friend” is also reflected in its subsequent product services. An unnamed source stated that even for the advanced photolithography machines sold to mainland China, ASML is still trying to extend the stay of its technical personnel in China and cooperate with after-sales services despite facing pressures from the US on software and component supply.

    However, unlike Nvidia, which holds absolute authority in chip design and can circumvent regulatory measures with “special AI chips,” ASML’s photolithography machines consist of up to 100,000 parts from over 5,000 global suppliers. The most critical component, especially the EUV light source, is almost entirely monopolized by the American company Cymer. Even for the DUV light source, while some Japanese and Chinese companies relentlessly pursue it, there is still a gap compared to ASML’s standards. This is the root of America’s confidence in its “long-arm jurisdiction.”

    Note: Cymer was acquired by ASML in 2013 and is now a wholly-owned subsidiary of ASML.

    From this perspective, overly relying on ASML to “circumvent the blockade” to develop China’s chip industry following the new round of sanctions is undoubtedly unrealistic. After all, this round of sanctions is not a sudden event or an endpoint but part of the US’s effort to decouple China’s technology industry following the “Chip Act.”

    Since 2022, the US has introduced continuous chip legislation and export restriction bills to align with Japan and the Netherlands against China’s semiconductor industry. Consequently, in January 2023, the US, Japan, and the Netherlands reached an agreement on export controls for advanced semiconductor production equipment to China. According to this agreement, for DUV devices with a wavelength of 193nm and a resolution of less than 45nm, any item controlled by the US is subject to American regulations, even if the controlled content is over 0%. Following this, Japan’s export control order for 23 types of high-performance semiconductor manufacturing equipment took effect on July 23; the Netherlands chose September 1 as the effective date for its export control of photolithography machines and other semiconductor equipment and technology.

    The “2050i, 2100i” equipment embargo facing Chinese wafer foundries today resulted from the Dutch government’s control agreement, which was supposed to be implemented on September 1 last year. It has been continuously postponed and exempted until now due to multifaceted negotiations.

    Similarly, the mentioned “stagnation of Moore’s Law” is also a “beautiful illusion” of non-professionals.

    Moore’s Law predicts that the number of transistors on integrated circuits doubles every 24 months; the above figure corresponds to the earliest released chip products each year. | Source: Internet

    Theoretically, the “process” of a chip cannot be reduced indefinitely. This is determined by the chip’s minimum circuit etching width – “linewidth.” The narrower the linewidth, the more transistors can be etched per unit area, naturally enhancing chip performance. A functional circuit requires at least a width of several dozen atoms, meaning it’s challenging for a chip’s “linewidth” to continue shrinking beyond the “nanometer level.”

    However, the stagnation of linewidth technology does not signify the demise of Moore’s Law.

    With technological advancements, the current chip process no longer relies solely on reducing the “linewidth” to advance Moore’s Law. A senior factory engineer revealed that after the 28nm process, linewidth in the chip industry has not significantly improved, but this has not hindered the continued advancement of Moore’s Law. Over more than a decade, the semiconductor industry has been experimenting with more advanced architectures to stack transistors three-dimensionally and ultimately convert them into an “equivalent process,” thus prolonging the life of Moore’s Law.

    In other words, a so-called 3nm chip does not mean that the chip’s minimum linewidth has reached 3nm, but rather, it achieves the same energy efficiency as a 3nm chip through improvements in architecture and technology.

    Currently, semiconductor companies, including TSMC and Intel, have updated their new process initiatives. On December 14, TSMC revealed at the IEEE International Electron Devices Meeting that it has begun developing 1.4nm-level manufacturing technology, named 14A, which is progressing smoothly and is expected to go into production between 2027 and 2028.

    Wang Bo, a senior chip expert and author of “A Brief History of Chips,” previously mentioned, “Moore’s Law is not just a simple technological law, but also a law about human confidence and hope. Looking back at the development history of the chip industry, as long as young people always dare to break the norm and innovate actively, the steps of Moore’s Law will not stop.”

    There are no signs of a decline in “Moore’s Law,” and competitors will not wait for China’s chip manufacturing to catch up. From this perspective, rather than hoping for an improved external environment in the future, “discarding illusions and preparing for battle” might be the normalized theme for China’s domestic chip industry in the coming decades.

    The endeavor to build an independent wafer foundry system, a similar’ battle,’ has, in fact, been fully underway for decades.

    Data published by the International Semiconductor Industry Association (SEMI) shows that mainland China’s monthly wafer production capacity has reached 7.6 million pieces, accounting for 25.6% of global semiconductor capacity. On this basis, in 2024, mainland China’s semiconductor capacity is expected to continue leading the world with a growth rate of 13%.

    The enormous scale of the industry is the A-side of China’s chip manufacturing; being “large but not strong” and “costly” is its B-side, and these are the core issues raised by skeptics both inside and outside the industry.

    Some insiders in the chip industry have said that due to technological blockades, China’s chips have to adopt methods completely contrary to market laws, subsidizing heavily in design, manufacturing, packaging, and testing. While this approach is costly, it has also led to a disconnect between academic and industrial understanding of the chip industry.

    “We are setting up wafer factories like making dumplings, but due to the weakness in the industry’s upstream and downstream, many factories’ processes are relatively outdated, and there’s no guarantee for domestication rates and yield.”

    In contrast, for a considerable period in the past, some enterprises and public opinion conveyed to the public a narrative of ‘continuous good news.’ From equipment to technology, there were ‘major breakthroughs.’

    Behind all these ‘breakthroughs,’ what is the current true state of China’s chip industry? After the new photolithography machine embargo takes effect in 2024, will we still need DUV photolithography machines? And does China’s semiconductor industry need to continue placing orders, building factories, and expanding production?

    To answer these questions, we need to look at the development trajectory of the semiconductor industry.

    At the macro level, Chen Jing, vice chairman of the Society of Technology and Strategic Studies, believes that “the chip industry has not yet escaped the realm of industry and technology, which means that as long as there is a willingness to invest time and money, success is achievable.” After all, the early days of Taiwan’s semiconductor industry, starting from a position of “utter poverty,” were in some ways more challenging than the current state of mainland China’s semiconductor industry.

    At the micro level, the market must also recognize the significant challenges faced in the development of semiconductor equipment — the key research and development process of an EUV lithography machine can provide a clearer understanding of the technical barriers in this industry:

    After numerous failures, the American company Cymer finally developed an extreme ultraviolet light source with a wavelength of 13.5 nm. To ensure the power of the light source, the flatness of the mirror surface needed to be guaranteed. ASML developed a special mirror with astonishing flatness — if the mirror surface were enlarged to the size of Yunnan Province, the surface flatness would vary by less than 1 millimeter.

    After overcoming countless technical challenges brought by hundreds of thousands of components, ASML finally developed the first EUV lithography machine, with a single unit costing up to 200 million USD. These machines’ components had to be transported to customers via four Boeing 747 aircraft. Upon arrival at the wafer fab, it took over a hundred engineers to install and adjust the machine repeatedly before it could start producing test samples. Each generation of lithography machines takes 2-3 years from the first batch of samples to achieve high-yield mass production capabilities.

    Intel Receives High Numerical Aperture EUV Components, Covering 2nm Process Technology. Source: Intel

    Chris Miller, the author of “Chip War” and Associate Professor of International History at Tufts University’s Fletcher School, summarizes the development of the chip industry as follows: “Semiconductor manufacturing plants – wafer fabs – are the most expensive factories in the world. Today, the semiconductor industry is undergoing the most complex manufacturing process in human history.”

    From this perspective, expecting the Chinese semiconductor industry to reverse its cycle and achieve significant technological breakthroughs quickly, even leading in processing technology, is contrary to industry norms.

    Even the historical development of the global semiconductor industry has been based on the highly specialized division of labor and official subsidies in the United States, Japan, the Netherlands, and Taiwan, China. In a sense, China’s pursuit of the chip industry equates to compressing 70 years of global chip industry history into 20 or even fewer years, a task with immense difficulty and challenges.

    During this process, what the Chinese chip industry lacks the most is not just lithography machines but the upstream and downstream supply chains and talent. Without lithography machines, Chinese chip companies can only fail to produce the most advanced chips. However, the lack of upstream and downstream supply chain enterprises results in the entire industry facing the risk of being “crippled” in subsequent sanctions.

    This is why even purchasing models like NXT:1980i from ASML and continuing with what is considered “outdated capacity,” like 40nm, is seen as necessary by many seasoned industry professionals.

    On one hand, there is no such thing as an “outdated process” in lithography systems.

    Among photolithography machines, advanced and outdated models do not replace each other but work in coordination. In TSMC’s production process, 7nm and 5nm chips are not entirely made by EUV; instead, both types of machines work together. Of the 80 layers of photomasks in the 7nm process, only 12 are completed by EUV, with the remaining 68 layers relying on DUV exposure. In the 5nm node with 100 layers of photomasks, the proportion handled by DUV machines is as high as 78%.

    In comparison, the ideal level currently achievable by domestic photolithography machines is around 28nm, and their domestic production and yield rate cannot be guaranteed in the short term, making them insufficient as perfect replacements for the former. Note: The immersion DUV photolithography machines in the NXT:2000 series and above are generally used in overseas wafer fabs without EUV restrictions for producing advanced process chips below 7nm. Mainland China’s foundries mainly use them for processes below 10nm, with mature processes above 14nm primarily employing the NXT:1980 system.

    On the other hand, there is no such thing as “outdated capacity” in the semiconductor industry.

    Public data indicates that from 2023 to 2027, the global capacity ratio of wafer foundries between mature processes (above 28nm) and advanced processes (below 16nm) is expected to remain at 7:3. This means that in the current era of high-performance chip production, the 40nm and 28nm processes in the global semiconductor industry will still meet the needs of nearly 70% of products.

    SMIC’s Lingang 12-inch Wafer Foundry Production Line | Source: Core Intelligence

    On this basis, the Chinese chip industry needs continuous production to “train” its capabilities, stimulate demand up and down the supply chain, and achieve coordinated industrial development.

    As a senior industry insider put it:

    “The initial technology and processes were poor in the semiconductor industry worldwide. It wasn’t until the second and third generations, after accumulating experience, that things began to improve. Under the current embargo, the only way to ensure orders for upstream and downstream enterprises, and sufficient funds to advance to the next generation, is to continue purchasing these ‘unnecessary’ photolithography machines to expand capacity.”

    From this perspective, the chip embargo consumes significant time and resources, but this doesn’t mean that DUV capacity is a “burden.” On the contrary, in the future, China’s chip industry will need more and more DUV capacity to keep advancing discreetly in the long river of the world’s semiconductor industry.

    Compared to the financial waste brought by “outdated processes,” “outdated cognition” is the real factor hindering the development of the semiconductor industry and causing substantial hidden costs.

    The aforementioned senior factory engineer said a noticeable ‘disconnect’ exists between academic and industrial perspectives in the semiconductor field. He noted that many view the semiconductor industry through a ‘military-industrial’ lens.

    “Semiconductors are not atomic bombs; it’s not about having or not having them, but it’s a commercial industry that requires good cost control.”

    In fact, developing advanced process photolithography systems is just one of the many problems companies need to solve. According to Dr. Lin Benjian, the inventor of immersion photolithography and a technical expert at TSMC, even traditional 40nm and 28nm DUV photolithography machines can meet the production requirements of 7nm or even 5nm with techniques such as immersion, lens improvement, OPC compensation, and multiple exposures. However, the resulting low yield and low capacity are key reasons why the global semiconductor industry abandoned this technological path in favor of EUV technology.

    Based on the type of light source, photolithography machines can be divided into UV, DUV, and EUV | Source: Silicon-Based Research Institute Graphics

    “The same 7nm chip, if processed with EUV, might only need 40 iterations to complete, but with DUV, it might need more than 90. Considering the difference in productivity, compared to using only DUV, EUV optimizes chip costs by about three times.”

    In the book, another “Chip War,” strategy consulting expert Yu Sheng also repeatedly emphasized the unparalleled importance of the two indicators, “yield” and “capacity.”

    For the chip manufacturing industry, yield equates to cost, and capacity signifies timeliness. Take the cooperation between Apple and TSMC as an example; the latter’s yield performance directly affects the cost Apple pays for chip foundry services, which in turn impacts the company’s gross profit and even the final pricing of the product. The capacity level determines how long it takes for Apple to stock up on new products, significantly leading competitors in releasing new products.

    In a sense, TSMC’s excellent performance in these two indicators has earned Apple’s long-term recognition and orders.

    Industry insiders reveal that even the old photolithography machines lying in factories around the world for several years still have a large number of engineers continuously optimizing their efficiency and production stages. “The majority of DUV and EUV photolithography machines are seeing a continuous increase in the number of lines of software code each year.”

    In comparison, public opinion on the technological R&D of the semiconductor industry partly remains fixated on the “creation” aspect. As long as the related technology meets the benchmarks and passes the acceptance tests, it is considered sufficient. However, subsequent production, application, and debugging often lack attention. Such a product and technology approach, when applied to enhancing the manufacturing level of the domestic semiconductor industry, is far from the mark.

    This is why many industry professionals, including Wang Bo, believe that the technological sanctions and blockades from the West also serve as a “reorganization” and “evolutionary push” for the domestic manufacturing supply chain:

    “On one hand, it forces us to continue resolving manufacturing issues; on the other hand, it prompts us to explore new directions. The goal is singular, but the paths and methods to achieve it can be diverse and are not limited to one. Currently, EUV is one of these methods, but many other technological routes are beyond it.”

    Wang Bo stated that nanoimprint lithography is one of the alternatives. Although the chance of replacing EUV is slim, it is much more likely to replace i-line or KrF photolithography systems.

    Unlike the long history of iterative technological development in photolithography, nanoimprint lithography emerged in 1995. Chinese-American scientist and member of the National Academy of Engineering, Professor Stephen Chou, proposed this new ultra-high resolution (<10nm) nanostructure manufacturing technique based on polymer molding processes to break through the technical bottleneck of DUV photolithography. The technology garnered attention following Canon’s announcement to initiate the FPA-1200NZ2c nanoimprint semiconductor manufacturing equipment.

    Imprinting is an ancient technique for transferring patterns. To draw an analogy, making chips with nanoimprint lithography is like a manual “stamping” process. The circuit with a gate length of just a few nanometers is etched onto the “stamp” (template), which is then pressed onto imprinting gel (like rubber clay), creating a pattern opposite to that on the stamp. The pattern is then solidified, completing the “carving” step in micro-nano fabrication.

    Compared to traditional photolithography, nanoimprint lithography does not require a complex optical system or expensive light sources, potentially significantly reducing manufacturing costs. However, the productivity and efficiency issues in this technology route have not yet been resolved. By contrast, photolithography systems can flexibly schedule production. In actual factory manufacturing processes, the same DUV photolithography machine can be used to produce chips of different processes at different times. Different models of photolithography systems can also work together through preset production programs. However, under nanoimprint technology, the production process tends to be somewhat “mechanical” and “isolated.” “Nanoimprinting is 1:1. One mold can only produce one chip of the same size.”

    Comparison of Nanoimprint and Photolithography Principles | Source: Canon

    As of now, this technology is garnering global attention. Data from TechNavio shows that by 2026, the nanoimprint market is expected to reach $3.3 billion, with a compound annual growth rate of 17.74% from 2021 to 2026. Although the nanoimprint market is not as large as imagined, it is gradually gaining strength.

    In Wang Bo’s view, another technological path is electron beam lithography.

    “Electrons are also a type of wave with a very short wavelength, so they can also be used to process more advanced process chips.” However, there are limitations in capacity, production efficiency, and process challenges. “Electron beam etching is a bit like writing with a pen on paper, where you must etch line by line. It’s not like photolithography, where a whole wafer is exposed at once. So although it can produce higher precision and more complex structures, it also faces the issue of lower capacity.”

    On other technological fronts, the previously rumored “domestic photolithography machine factory” turned out to be a misunderstanding, but the SSMB-EUV light source technology initiated by Tsinghua University in 2017 could indeed provide a new direction of development. However, this technology is still not mature enough for photolithography systems. “At present, it is still in the theoretical stage,” Wang Bo believes.

    Beyond the semiconductor industry’s technological exploration, proactive planning and layout in anticipation of the new wave of “chip tides” brought by the AI industry can also help avoid falling behind at the industrial level.

    In the long history of the chip industry, the so-called “overtaking on a curve” by relying on new technological routes is only a sporadic phenomenon. However, the objective laws reflected in this phenomenon are still worth contemplating — every species, technology, and industry has its own cyclical period. Building on this, as industry professionals have summarized:

    “Even the most advanced DUV photolithography machines of today will eventually be phased out in the decades to come. As long as we respect science and continue to explore, there will always be a possibility to keep up with the pace of technological advancement.”

    References
    VIEWS BY

    author_image
    The Intellectual
    A media platform founded by scholars Rao Yi, Lu Bai, and Xie Yusen, focusing on Science, Humanities, and Ideas.
    Share This Post